EV GroupUnternehmenNews und PresseEV Group demonstriert Collective Di...

EV Group demonstriert Collective Die-to-Wafer Hybrid und Fusion Bonding zur Schließung zentraler Prozesslücken bei der Heterogenen Integration

Durchgängiger Prozessfluss für kollektives Die-to-Wafer-Bonden mit Platzierungsgenauigkeit von unter zwei Mikrometern in EVGs Heterogeneous Integration Competence Center™ erfolgreich implementiert

EV Group demonstrierte erfolgreich einen durchgängigen Collective Die-to-Wafer (D2W) Hybrid- und Fusionsbonding-Prozess mit Platzierungsgenauigkeit von unter zwei Mikrometern, Foto: Einzelne Dies auf einem Wafer nach dem kollektiven Bonden.

ST. FLORIAN, Österreich, 19. Oktober 2020 — EV Group (EVG), ein führender Entwickler und Hersteller von Anlagen für Waferbonding- und Lithographieanwendungen in der Halbleiterindustrie, Mikrosystemtechnik und Nanotechnologie, gab heute bekannt, dass man erfolgreich einen vollständigen Prozessablauf für kollektives Die-to-Wafer (D2W) Hybrid- und Fusionsbonden mit einer Platzierungsgenauigkeit von unter zwei Mikrometern demonstriert hat. Dabei wurden die bewährten Waferbonding-Technologien und -Prozesse von EVG sowie vorhandene Bond-Schnittstellenmaterialien verwendet. Dieser Durchbruch, der im Heterogeneous Integration Competence Center™ des Unternehmens erzielt wurde, stellt einen wichtigen Meilenstein für den Einsatz der heterogenen Integration in der Halbleiterproduktion bei 2,5D- und 3D-Packaginganwendungen der nächsten Generation dar.

Das am Hauptsitz von EVG angesiedelte Kompetenzzentrum für Heterogene Integration bietet ein breites Spektrum an Dienstleistungen an, die von der Beratung über Machbarkeitsstudien, Demonstrationen und die Unterstützung bei der Prozessentwicklung bis hin zur Pilotserienproduktion reichen. Es dient als Open-Access-Innovationsinkubator und soll Kunden dabei helfen, die Technologieentwicklung zu beschleunigen, Risiken zu minimieren und durch Heterogene Integration und Advanced Packaging differenzierende Technologien und Produkte zu entwickeln. Gleichzeitig werden die höchsten IP-Schutzstandards garantiert, die für die Arbeit an Vorserienprodukten erforderlich sind. Schwerpunktthemen im Technologie-Kompetenzzentrum sind dabei alle Prozess- und Integrationsaspekte sowohl der Wafer-zu-Wafer- als auch der verschiedenen D2W-Integrationsansätze.

Zukunftsweisende Anwendungen wie künstliche Intelligenz, autonomes Fahren, Augmented bzw. Virtual Reality und 5G erfordern alle die Entwicklung von Devices mit hoher Bandbreite und Leistung bei niedrigem Stromverbrauch, wobei die Produktionskosten nicht steigen sollen. Da die traditionelle 2D-Skalierung auf Silizium-Basis an ihre Kostengrenzen stößt, wendet sich die Halbleiterindustrie zur Steigerung der Leistung neuer Gerätegenerationen der Heterogenen Integration (HI) zu – also der Herstellung, Montage und Verpackung verschiedener Komponenten oder „Dies“ bzw. Chips mit unterschiedlichen Merkmalen, Größen und Materialien auf einem einzigen Device oder Package. Das kollektive D2W-Bonden ist ein wesentlicher HI-Prozessschritt, der den Transfer funktionaler Schichten bzw. Layer und getesteter Chips (sog. „Known Good Dies“) ermöglicht. Damit wird die kosteneffiziente Herstellung neuer Arten von 3D-ICs, Chiplets sowie segmentierter und 3D-System on Chip (SoC) Devices unterstützt.

"Seit mehr als 20 Jahren bietet EVG Prozesslösungen und Expertenwissen zur Unterstützung der Weiterentwicklung der Heterogenen Integration – auch im Bereich D2W-Bonden, wo unsere Technologie erfolgreich in der Hochvolumenproduktion eingesetzt wird", erklärte Markus Wimplinger, Corporate Technology Development & IP Director bei EV Group. "Unser Heterogeneous Integration Competence Center, das durch unsere weltweites Netzwerk von Prozesstechnologie-Teams unterstützt wird, erweitert unsere Fähigkeiten in diesem kritischen Bereich. Das Komptenzzentrum ist die Basis für die Zusammenarbeit mit unseren Kunden und Partnern bei der Entwicklung neuer 3D/HI-Lösungen und Produkte. Dazu gehört unser neuer Collective D2W Bonding-Ansatz, bei dem wir die Fähigkeit unter Beweis gestellt haben, alle wichtigen Prozessschritte mit hoher Bestückungsgenauigkeit und Transferrate im eigenen Haus durchführen zu können. Dabei kommen unsere vorhandenen Anlagen für Wafer-Bonding- und Debonding-, Messtechnik- und Reinigungsprozesse zusammen mit ausgewählten Drittanbieter-Systemen unserer Entwicklungspartner zum Einsatz. Wir möchten unseren Partnern für ihre Rolle und Unterstützung zum Erreichen dieses wichtigen Meilensteines danken. Ein besonderer Dank geht an IRT Nanoelec und CEA-Leti, welche die bei dieser Demonstration verwendeten Substrate zur Verfügung gestellt haben".

Ergebnisse der kollektiven Die-to-Wafer Bonding-Demonstration
Ein technisches Paper zu den Ergebnissen des Collective D2W-Bonding-Prozesses von EVG wurde diesen Monat auf der PRiME 2020-Konferenz der Electrochemical Society (ECS) vorgestellt und kann von der PRiME-Website der ECS unter https://ecs.confex.com/ecs/prime2020/meetingapp.cgi/Paper/142631 heruntergeladen werden (Registrierung erforderlich). Weitere Informationen zu den Hybrid- und Fusionsbonding-Lösungen der EVG finden Sie unter https://www.evgroup.com/de/technologien/fusions-und-hybridbonden/.

EVG-Lösungen für die Heterogene Integration
EVG bietet eine komplette Suite von HI-Prozesslösungen an, darunter Systeme für das permanente Wafer-Bonden (wie z.B. direktes Fusions- und Hybridbonden für 3D-Packaging und Metallbonden), Die-to-Wafer-Bonden mit und ohne kollektive Träger für die Integration von III-V-Verbindungshalbleitern und Silizium sowie 3D-Packaging mit hoher Dichte. Dazu kommen Lösungen für das temporäre Bonden und Debonden (einschließlich mechanischer, Slide-Off/Lift-Off- und UV-Laser-unterstützter Verfahren), die Dünnwafer-Verarbeitung und integrierte sowie Stand-alone-Metrologiesysteme für das Bond-Alignment, Abweichungsmessungen der Gesamt- und Schichtdicken sowie die Bond-Interface-Prüfung. Abgerundet wird das Portfolio durch innovative Lithographietechnologien mit Maskalignern, Belackungs- und Entwicklungssystemen sowie Lösungen zur maskenlosen Belichtung / digitalen Lithographie.

EVG ist Sponsor und Aussteller der International Wafer-Level Packaging Conference and Exposition (IWLPC), die in diesem Monat virtuell stattfindet. EVG wird während der Konferenz, deren Inhalte On-Demand bis 30. Oktober verfügbar sein werden, einen Vortrag mit dem Titel "Maskless Lithography Optimized for Heterogeneous and Chiplet Integration" halten.

Über EV Group (EVG):

Die EV Group (EVG) ist anerkannter Technologie- und Marktführer für Präzisionsanlagen und Prozesslösungen zur Waferbearbeitung in der Halbleiterindustrie, Mikrosystemtechnik und Nanotechnologie. Zu den Kernprodukten gehören Waferbonder, Systeme zur Dünnwafer-Bearbeitung, Lithographie- und Nanoprägelithographie-Systeme sowie Fotoresist-Belacker, Reinigungs- und Metrologiesysteme. Das 1980 gegründete Unternehmen mit Hauptsitz in St. Florian am Inn (Austria) beschäftigt mehr als 1000 Mitarbeiter und betreut mit eigenen Niederlassungen in USA, Japan, Korea, China und Taiwan sowie Repräsentanzen namhafte Produktionskunden und R&D-Partner in aller Welt. Für mehr Informationen siehe www.EVGroup.com.

Kontakte:

Clemens Schütte
Director, Marketing and Communications
EV Group
Tel: +43 7712 5311 0
E-mail: Marketing@EVGroup.com

David Moreno
Principal
Open Sky Communications
Tel: +1.415.519.3915
E-mail: dmoreno@openskypr.com